]> defiant.homedns.org Git - ros_wild_thumper.git/blobdiff - avr/motor_ctrl/main.c
motor_ctrl: break when going to fast
[ros_wild_thumper.git] / avr / motor_ctrl / main.c
index 1fd829253bd09f76c7e45800fa41cdedfe0255b2..abbf5386146205c5b52c55780f9b971015ecf2be 100644 (file)
@@ -60,7 +60,6 @@
  * 0x3D Angle (rad/s)
  * 0x3E Angle (rad/s)
  * 0x3F Angle (rad/s) LSB
- * free
  * 0x40 Position x (m) MSB
  * 0x41 Position x (m)
  * 0x42 Position x (m)
  * 0x91 Motor 2 switch
  * 0x92 Motor 3 switch
  * 0x93 Motor 4 switch
- * 0x94 Front Handicap backward
- * 0x95 Aft Handicap forward
+ * 0x94 Front Handicap
+ * 0x95 Aft Handicap
  * free
  * 0xA0 Reset reason
- * 0xA1 TLE Error status
+ * 0xA1 Error status
  * 0xA2 count test
  * free
  * 0xff Bootloader
 #define TWI_RESET      TWCR &= ~((1 << TWSTO) | (1 << TWEN)); TWI_ACK
 #define TWI_NAK                TWCR = (1<<TWINT) | (1<<TWEN) | (1<<TWIE)
 
-#define KP 0.009
-#define KI 0.051429
-#define KD 0.000378
+#define KP 0.06
+#define KI 0.10
+#define KD 0.0
 #define PID_T 0.01
-#define STEP_PER_M 3376.1 // wheel diameter=12cm, encoder=48cpr, gear ratio=1:34
-#define WHEEL_DIST 0.252
+// wheel diameter=12cm, encoder=48cpr, gear ratio=1:47, real wheel diameter: 0.12454m
+#define STEP_PER_M_AVG 5766.1
+#define STEP_PER_M_LEFT (STEP_PER_M_AVG)
+#define STEP_PER_M_RIGHT (STEP_PER_M_AVG)
+#define WHEEL_DIST 0.36923 // Real: 0.252
+#define PWM_BREAK INT16_MIN
 
 enum mode {
        MOTOR_MANUAL,
@@ -139,14 +142,18 @@ static volatile enum mode motor1_mode=MOTOR_MANUAL;
 static volatile enum mode motor2_mode=MOTOR_MANUAL;
 static volatile enum mode motor3_mode=MOTOR_MANUAL;
 static volatile enum mode motor4_mode=MOTOR_MANUAL;
-static volatile uint8_t motor1_switch=0;
-static volatile uint8_t motor2_switch=0;
+static volatile uint8_t motor1_switch=1;
+static volatile uint8_t motor2_switch=1;
 static volatile uint8_t motor3_switch=0;
 static volatile uint8_t motor4_switch=0;
 static volatile int16_t speed1_wish=0; // step/s
 static volatile int16_t speed2_wish=0;
 static volatile int16_t speed3_wish=0;
 static volatile int16_t speed4_wish=0;
+static volatile int16_t speed1_wish_old=0;
+static volatile int16_t speed2_wish_old=0;
+static volatile int16_t speed3_wish_old=0;
+static volatile int16_t speed4_wish_old=0;
 static volatile uint8_t run_update=0;
 static volatile int16_t speed1=0; // step/s
 static volatile int16_t speed2=0;
@@ -158,8 +165,9 @@ static volatile ufloat_t angle={0.0};
 static volatile float cur_speed_lin=0;
 static volatile float cur_speed_rot=0;
 static volatile uint8_t count_test=0;
-static volatile uint8_t front_handicap_bwd=0;
-static volatile uint8_t aft_handicap_fwd=0;
+static volatile uint8_t front_handicap=0;
+static volatile uint8_t aft_handicap=0;
+static volatile uint8_t error_state=0;
 
 ISR(TWI_vect)
 {
@@ -169,7 +177,7 @@ ISR(TWI_vect)
        static ufloat_t tmp_angle;
 
        switch (TWSR & 0xF8)
-       {  
+       {
                case 0x60: // start write
                        TWI_ACK;
                        ireg = 0;
@@ -267,8 +275,8 @@ ISR(TWI_vect)
                                        break;
                                case 0x2B: // Left speed wish LSB
                                        tmp_speed.i = tmp_speed.i << 8 | TWDR;
-                                       speed1_wish = tmp_speed.f*STEP_PER_M;
-                                       speed2_wish = tmp_speed.f*STEP_PER_M;
+                                       speed1_wish = tmp_speed.f*STEP_PER_M_LEFT;
+                                       speed2_wish = tmp_speed.f*STEP_PER_M_LEFT;
                                        motor1_mode = MOTOR_PID;
                                        motor2_mode = MOTOR_PID;
                                        TWI_ACK;
@@ -287,8 +295,8 @@ ISR(TWI_vect)
                                        break;
                                case 0x2F: // Right speed wish LSB
                                        tmp_speed.i = tmp_speed.i << 8 | TWDR;
-                                       speed1_wish = tmp_speed.f*STEP_PER_M;
-                                       speed2_wish = tmp_speed.f*STEP_PER_M;
+                                       speed1_wish = tmp_speed.f*STEP_PER_M_RIGHT;
+                                       speed2_wish = tmp_speed.f*STEP_PER_M_RIGHT;
                                        motor1_mode = MOTOR_PID;
                                        motor2_mode = MOTOR_PID;
                                        TWI_ACK;
@@ -344,12 +352,14 @@ ISR(TWI_vect)
                                        motor4_switch = TWDR;
                                        TWI_ACK;
                                        break;
-                               case 0x94: // Front Handicap backward
-                                       front_handicap_bwd = TWDR;
+                               case 0x94: // Front Handicap
+                                       front_handicap = TWDR;
+                                       cmd_vel.bUpdate = 1;
                                        TWI_ACK;
                                        break;
-                               case 0x95: // Aft Handicap forward
-                                       aft_handicap_fwd = TWDR;
+                               case 0x95: // Aft Handicap
+                                       aft_handicap = TWDR;
+                                       cmd_vel.bUpdate = 1;
                                        TWI_ACK;
                                        break;
                                case 0xff: // bootloader
@@ -366,18 +376,34 @@ ISR(TWI_vect)
                                        TWDR = OCR1A;
                                        TWI_ACK;
                                        break;
+                               case 0x03: // Dummy to allow continous read
+                                       TWDR = 0;
+                                       TWI_ACK;
+                                       break;
                                case 0x04: // Motor 2 PWM
                                        TWDR = OCR1B;
                                        TWI_ACK;
                                        break;
+                               case 0x05: // Dummy to allow continous read
+                                       TWDR = 0;
+                                       TWI_ACK;
+                                       break;
                                case 0x06: // Motor 3 PWM
                                        TWDR = OCR2;
                                        TWI_ACK;
                                        break;
+                               case 0x07: // Dummy to allow continous read
+                                       TWDR = 0;
+                                       TWI_ACK;
+                                       break;
                                case 0x08: // Motor 4 PWM
                                        TWDR = OCR0;
                                        TWI_ACK;
                                        break;
+                               case 0x09: // Dummy to allow continous read
+                                       TWDR = 0;
+                                       TWI_ACK;
+                                       break;
                                case 0x10: // Hall 1 MSB
                                        tmp16 = pos1;
                                        TWDR = tmp16>>8;
@@ -565,8 +591,8 @@ ISR(TWI_vect)
                                        MCUCSR = 0x0;
                                        TWI_ACK;
                                        break;
-                               case 0xA1: // TLE Error status
-                                       TWDR = ~((PIND & 0x40)>>3 | (PINB & 0x07)) & 0xf;
+                               case 0xA1: // Error status
+                                       TWDR = error_state;
                                        TWI_ACK;
                                        break;
                                case 0xA2: // count test
@@ -666,17 +692,27 @@ static void update_motor(void) {
        static int16_t m3_old=SHRT_MIN;
        static int16_t m4_old=SHRT_MIN;
 
+       error_state &= 0xf0; // clear lower bits
+       error_state |= ~((PIND & 0x40)>>3 | (PINB & 0x07)) & 0xf;
+
        if (m1_old != motor1) { // update only when changed
                if (motor1 == 0) {
                        // stop
+                       PORTC &= ~(1 << 3) & ~(1 << 2);
+               } else if (motor1 == PWM_BREAK) {
                        PORTC |= (1 << 3) | (1 << 2);
                } else if ((!motor1_switch && motor1 > 0) || (motor1_switch && motor1 < 0)) {
                        // forward
-                       PORTC &= ~(1 << 3) & ~(1 << 2);
+                       uint8_t tmp=PORTC;
+                       tmp &= ~(1 << 3);
+                       tmp |=  (1 << 2);
+                       PORTC = tmp;
                } else { // motor1 < 0
                        // backward
-                       PORTC &= ~(1 << 2);
-                       PORTC |=  (1 << 3);
+                       uint8_t tmp=PORTC;
+                       tmp &= ~(1 << 2);
+                       tmp |=  (1 << 3);
+                       PORTC = tmp;
                }
 
                m1_old = motor1;
@@ -686,14 +722,21 @@ static void update_motor(void) {
        if (m2_old != motor2) { // update only when changed
                if (motor2 == 0) {
                        // stop
+                       PORTC &= ~(1 << 5) & ~(1 << 4);
+               } else if (motor2 == PWM_BREAK) {
                        PORTC |= (1 << 5) | (1 << 4);
                } else if ((!motor2_switch && motor2 > 0) || (motor2_switch && motor2 < 0)) {
                        // forward
-                       PORTC &= ~(1 << 5) & ~(1 << 4);
+                       uint8_t tmp=PORTC;
+                       tmp &= ~(1 << 5);
+                       tmp |=  (1 << 4);
+                       PORTC = tmp;
                } else { // motor2 < 0
                        // backward
-                       PORTC &= ~(1 << 4);
-                       PORTC |=  (1 << 5);
+                       uint8_t tmp=PORTC;
+                       tmp &= ~(1 << 4);
+                       tmp |=  (1 << 5);
+                       PORTC = tmp;
                }
 
                m2_old = motor2;
@@ -703,14 +746,21 @@ static void update_motor(void) {
        if (m3_old != motor3) { // update only when changed
                if (motor3 == 0) {
                        // stop
+                       PORTC &= ~(1 << 7) & ~(1 << 6);
+               } else if (motor3 == PWM_BREAK) {
                        PORTC |= (1 << 7) | (1 << 6);
                } else if ((!motor3_switch && motor3 > 0) || (motor3_switch && motor3 < 0)) {
                        // forward
-                       PORTC &= ~(1 << 7) & ~(1 << 6);
+                       uint8_t tmp=PORTC;
+                       tmp &= ~(1 << 7);
+                       tmp |=  (1 << 6);
+                       PORTC = tmp;
                } else { // motor3 < 0
                        // backward
-                       PORTC &= ~(1 << 6);
-                       PORTC |=  (1 << 7);
+                       uint8_t tmp=PORTC;
+                       tmp &= ~(1 << 6);
+                       tmp |=  (1 << 7);
+                       PORTC = tmp;
                }
 
                m3_old = motor3;
@@ -720,14 +770,21 @@ static void update_motor(void) {
        if (m4_old != motor4) { // update only when changed
                if (motor4 == 0) {
                        // stop
+                       PORTD &= ~(1 << 3) & ~(1 << 2);
+               } else if (motor4 == PWM_BREAK) {
                        PORTD |= (1 << 3) | (1 << 2);
                } else if ((!motor4_switch && motor4 > 0) || (motor4_switch && motor4 < 0)) {
                        // forward
-                       PORTD &= ~(1 << 3) & ~(1 << 2);
+                       uint8_t tmp=PORTD;
+                       tmp &= ~(1 << 3);
+                       tmp |=  (1 << 2);
+                       PORTD = tmp;
                } else { // motor4 < 0
                        // backward
-                       PORTD &= ~(1 << 2);
-                       PORTD |=  (1 << 3);
+                       uint8_t tmp=PORTD;
+                       tmp &= ~(1 << 2);
+                       tmp |=  (1 << 3);
+                       PORTD = tmp;
                }
 
                m4_old = motor4;
@@ -747,7 +804,6 @@ static void update_pos(void) {
        int16_t pos4_diff;
        float diff_left_m, diff_right_m, angle_diff, translation;
        float pos_x_new, pos_y_new, angle_new;
-       int16_t speed_l, speed_r;
        float tmp_speed_lin, tmp_speed_rot;
        int16_t cur_pos1, cur_pos2, cur_pos3, cur_pos4;
        int16_t new_speed1, new_speed2, new_speed3, new_speed4;
@@ -770,22 +826,20 @@ static void update_pos(void) {
        new_speed3 = pos3_diff/PID_T;
        new_speed4 = pos4_diff/PID_T;
 
-       diff_left_m = (pos1_diff + pos2_diff)/(2*STEP_PER_M);
-       diff_right_m = (pos3_diff + pos4_diff)/(2*STEP_PER_M);
+       diff_left_m = (pos1_diff + pos2_diff)/(2*STEP_PER_M_LEFT);
+       diff_right_m = (pos3_diff + pos4_diff)/(2*STEP_PER_M_RIGHT);
        angle_diff = (diff_right_m - diff_left_m) / WHEEL_DIST;
 
        angle_new = angle.f + angle_diff;
        if (angle_new > 2*M_PI) angle_new-=2*M_PI;
-       else if (angle_new < 2*M_PI) angle_new+=2*M_PI;
+       else if (angle_new < -2*M_PI) angle_new+=2*M_PI;
 
        translation = (diff_left_m + diff_right_m)/2.0;
        pos_x_new = pos_x.f + cos(angle_new)*translation;
        pos_y_new = pos_y.f + sin(angle_new)*translation;
 
-       speed_l = (new_speed1+new_speed2)/2;
-       speed_r = (new_speed3+new_speed4)/2;
-       tmp_speed_lin = (speed_l + speed_r)/(2.0*STEP_PER_M);
-       tmp_speed_rot = (speed_r - speed_l)/(M_PI*WHEEL_DIST*STEP_PER_M);
+       tmp_speed_lin = translation/PID_T;
+       tmp_speed_rot = angle_diff/PID_T;
 
        // copy from tmp
        cli();
@@ -817,63 +871,118 @@ static void update_pid(void) {
        static int32_t esum3=0;
        static int32_t esum4=0;
 
+       // protect motors from damage if stalling
+       if (labs(esum1) > 140000 && speed1 == 0) {
+               motor1 = 0;
+               motor1_mode = MOTOR_MANUAL;
+               error_state |= (1<<4);
+               esum1 = 0;
+       }       
+       if (labs(esum2) > 140000 && speed2 == 0) {
+               motor2 = 0;
+               motor2_mode = MOTOR_MANUAL;
+               error_state |= (1<<5);
+               esum2 = 0;
+       }       
+       if (labs(esum3) > 140000 && speed3 == 0) {
+               motor3 = 0;
+               motor3_mode = MOTOR_MANUAL;
+               error_state |= (1<<6);
+               esum3 = 0;
+       }       
+       // protect motors from damage if stalling
+       if (labs(esum4) > 140000 && speed4 == 0) {
+               motor4 = 0;
+               motor4_mode = MOTOR_MANUAL;
+               error_state |= (1<<7);
+               esum4 = 0;
+       }       
+
        if (motor1_mode == MOTOR_PID) {
+               if (speed1_wish != speed1_wish_old) {
+                       if (abs(speed1_wish - speed1_wish_old) > 500) esum1 = 0;
+                       speed1_wish_old = speed1_wish;
+               }
+
                if (speed1_wish == 0) {
                        motor1 = 0;
                        eold1 = 0;
-                       esum1 = 0;
+                       error_state &= ~(1<<4);
                } else {
                        int16_t e = speed1_wish - speed1;
                        esum1+=e;
-                       motor1 += KP*e + KI*PID_T*esum1 + KD/PID_T*(e - eold1);
+                       motor1 = KP*e + KI*PID_T*esum1 + KD/PID_T*(e - eold1);
                        eold1 = e;
 
-                        if (motor1 > 255) motor1 = 255;
+                       if (motor1 > 0 && speed1_wish < 0) motor1=PWM_BREAK;
+                       else if (motor1 < 0 && speed1_wish > 0) motor1=PWM_BREAK;
+                       else if (motor1 > 255) motor1 = 255;
                        else if (motor1 < -255) motor1 = -255;
                }
        }
        if (motor2_mode == MOTOR_PID) {
+               if (speed2_wish != speed2_wish_old) {
+                       if (abs(speed2_wish - speed2_wish_old) > 500) esum2 = 0;
+                       speed2_wish_old = speed2_wish;
+               }
+
                if (speed2_wish == 0) {
                        motor2 = 0;
                        eold2 = 0;
-                       esum2 = 0;
+                       error_state &= ~(1<<5);
                } else {
                        int16_t e = speed2_wish - speed2;
                        esum2+=e;
-                       motor2 += KP*e + KI*PID_T*esum2 + KD/PID_T*(e - eold2);
+                       motor2 = KP*e + KI*PID_T*esum2 + KD/PID_T*(e - eold2);
                        eold2 = e;
 
-                        if (motor2 > 255) motor2 = 255;
+                       if (motor2 > 0 && speed2_wish < 0) motor2=PWM_BREAK;
+                       else if (motor2 < 0 && speed2_wish > 0) motor2=PWM_BREAK;
+                       else if (motor2 > 255) motor2 = 255;
                        else if (motor2 < -255) motor2 = -255;
                }
        }
        if (motor3_mode == MOTOR_PID) {
+               if (speed3_wish != speed3_wish_old) {
+                       if (abs(speed3_wish - speed3_wish_old) > 500) esum3 = 0;
+                       speed3_wish_old = speed3_wish;
+               }
+
                if (speed3_wish == 0) {
                        motor3 = 0;
                        eold3 = 0;
-                       esum3 = 0;
+                       error_state &= ~(1<<6);
                } else {
                        int16_t e = speed3_wish - speed3;
                        esum3+=e;
-                       motor3 += KP*e + KI*PID_T*esum3 + KD/PID_T*(e - eold3);
+                       motor3 = KP*e + KI*PID_T*esum3 + KD/PID_T*(e - eold3);
                        eold3 = e;
 
-                        if (motor3 > 255) motor3 = 255;
+                       if (motor3 > 0 && speed3_wish < 0) motor3=PWM_BREAK;
+                       else if (motor3 < 0 && speed3_wish > 0) motor3=PWM_BREAK;
+                       else if (motor3 > 255) motor3 = 255;
                        else if (motor3 < -255) motor3 = -255;
                }
        }
        if (motor4_mode == MOTOR_PID) {
+               if (speed4_wish != speed4_wish_old) {
+                       if (abs(speed4_wish - speed4_wish_old) > 500) esum4 = 0;
+                       speed4_wish_old = speed4_wish;
+               }
+
                if (speed4_wish == 0) {
                        motor4 = 0;
                        eold4 = 0;
-                       esum4 = 0;
+                       error_state &= ~(1<<7);
                } else {
                        int16_t e = speed4_wish - speed4;
                        esum4+=e;
-                       motor4 += KP*e + KI*PID_T*esum4 + KD/PID_T*(e - eold4);
+                       motor4 = KP*e + KI*PID_T*esum4 + KD/PID_T*(e - eold4);
                        eold4 = e;
 
-                        if (motor4 > 255) motor4 = 255;
+                       if (motor4 > 0 && speed4_wish < 0) motor4=PWM_BREAK;
+                       else if (motor4 < 0 && speed4_wish > 0) motor4=PWM_BREAK;
+                       else if (motor4 > 255) motor4 = 255;
                        else if (motor4 < -255) motor4 = -255;
                }
        }
@@ -895,7 +1004,7 @@ int main(void) {
        DDRB = (1 << 3);
        DDRC = (1 << 7) | (1 << 6) | (1 << 5) | (1 << 4) | (1 << 3) | (1 << 2);
        DDRD = (1 << 7) | (1 << 5) | (1 << 4) | (1 << 3) | (1 << 2);
-       // Pullup TLEs EF
+       // Pullup Diag/Enable
        PORTB = (1 << 0) | (1 << 1) | (1 << 2);
        PORTD = (1 << 6);
 
@@ -908,23 +1017,23 @@ int main(void) {
        TWI_RESET;
 
        // Motor 1 & 2
-       // Timer 1: Fast PWM inverting mode, Top=256 => 15.625kHz
+       // Timer 1: Fast PWM non-inverting mode, Top=255 => 15.625kHz
        // Prescaler=1
-       TCCR1A = (1 << COM1A1) | (1 << COM1B1) | (1 << COM1A0) | (1 << COM1B0) | (1 << WGM10);
+       TCCR1A = (1 << COM1A1) | (1 << COM1B1) | (1 << WGM10);
        TCCR1B = (1 << WGM12) | (1 << CS10);
        OCR1A = 0;
        OCR1B = 0;
 
        // Motor 3
-       // Timer 2: Fast PWM inverting mode, Top=256
+       // Timer 2: Fast PWM non-inverting mode, Top=255
        // Prescaler=1
-       TCCR2 = (1 << WGM21) | (1 << WGM20) | (1 << COM21) | (1 << COM20) | (1 << CS20);
+       TCCR2 = (1 << WGM21) | (1 << WGM20) | (1 << COM21) | (1 << CS20);
        OCR2 = 0;
 
        // Motor 4
-       // Timer 0: Fast PWM inverting mode, Top=256
+       // Timer 0: Fast PWM non-inverting mode, Top=255
        // Prescaler=1
-       TCCR0 = (1 << WGM01) | (1 << WGM00) | (1 << COM01) | (1 << COM00) | (1 << CS00);
+       TCCR0 = (1 << WGM01) | (1 << WGM00) | (1 << COM01) | (1 << CS00);
        OCR0 = 0;
 
        printf("\r\nStart\r\n");
@@ -957,30 +1066,24 @@ int main(void) {
                        cmd_vel.bUpdate = 0;
                        sei();
 
-                       speed_wish_right = angle*M_PI*WHEEL_DIST/2 + speed;
+                       speed_wish_right = (angle*WHEEL_DIST)/2 + speed;
                        speed_wish_left = speed*2-speed_wish_right;
 
-                       speed_wish_left*=STEP_PER_M;
-                       speed_wish_right*=STEP_PER_M;
+                       speed_wish_left*=STEP_PER_M_LEFT;
+                       speed_wish_right*=STEP_PER_M_RIGHT;
 
-                       if (speed1_wish > 0 && aft_handicap_fwd > 0) {
-                               speed1_wish = speed_wish_left * (100-aft_handicap_fwd)/100.0;
+                       if (aft_handicap > 0) {
+                               speed1_wish = speed_wish_left * (100-aft_handicap)/100.0;
+                               speed3_wish = speed_wish_right * (100-aft_handicap)/100.0;
                        } else {
                                speed1_wish = speed_wish_left;
-                       }
-                       if (speed2_wish > 0 && front_handicap_bwd > 0) {
-                               speed2_wish = speed_wish_left * (100-front_handicap_bwd)/100.0;
-                       } else {
-                               speed2_wish = speed_wish_left;
-                       }
-                       if (speed3_wish > 0 && front_handicap_bwd > 0) {
-                               speed3_wish = speed_wish_right * (100-front_handicap_bwd)/100.0;
-                       } else {
                                speed3_wish = speed_wish_right;
                        }
-                       if (speed4_wish > 0 && aft_handicap_fwd > 0) {
-                               speed4_wish = speed_wish_right * (100-aft_handicap_fwd)/100.0;
+                       if (front_handicap > 0) {
+                               speed2_wish = speed_wish_left * (100-front_handicap)/100.0;
+                               speed4_wish = speed_wish_right * (100-front_handicap)/100.0;
                        } else {
+                               speed2_wish = speed_wish_left;
                                speed4_wish = speed_wish_right;
                        }
                        motor1_mode = MOTOR_PID;