X-Git-Url: https://defiant.homedns.org/gitweb/?p=ros_wild_thumper.git;a=blobdiff_plain;f=avr%2Fmotor_ctrl%2Fmain.c;h=d40347996ff5d4065a4d1f8f8d5b68642ce55d62;hp=f8a5c34b95fbb81eebca2a3e7ea923610e661c19;hb=483eaf7aa8ff347bee867cc5e79196cbe6e33dc3;hpb=7eb812cb0e80682d3266da4edac105c288f6ef16 diff --git a/avr/motor_ctrl/main.c b/avr/motor_ctrl/main.c index f8a5c34..d403479 100644 --- a/avr/motor_ctrl/main.c +++ b/avr/motor_ctrl/main.c @@ -87,8 +87,8 @@ * 0x91 Motor 2 switch * 0x92 Motor 3 switch * 0x93 Motor 4 switch - * 0x94 Front Handicap - * 0x95 Aft Handicap + * 0x94 Front Handicap backward + * 0x95 Aft Handicap forward * free * 0xA0 Reset reason * 0xA1 TLE Error status @@ -106,8 +106,8 @@ #define KI 0.051429 #define KD 0.000378 #define PID_T 0.01 -#define STEP_PER_M 3376.1 // wheel diameter=12cm, encoder=48cpr, gear ratio=1:34 -#define WHEEL_DIST 0.252 +#define STEP_PER_M 4171.4 // wheel diameter=12cm, encoder=48cpr, gear ratio=1:34, calculated wheel diameter: 0.12454m +#define WHEEL_DIST 0.36923 // Real: 0.252 enum mode { MOTOR_MANUAL, @@ -158,8 +158,8 @@ static volatile ufloat_t angle={0.0}; static volatile float cur_speed_lin=0; static volatile float cur_speed_rot=0; static volatile uint8_t count_test=0; -static volatile uint8_t front_handicap=0; -static volatile uint8_t aft_handicap=0; +static volatile uint8_t front_handicap_bwd=0; +static volatile uint8_t aft_handicap_fwd=0; ISR(TWI_vect) { @@ -344,12 +344,14 @@ ISR(TWI_vect) motor4_switch = TWDR; TWI_ACK; break; - case 0x94: // Front Handicap - front_handicap = TWDR; + case 0x94: // Front Handicap backward + front_handicap_bwd = TWDR; + cmd_vel.bUpdate = 1; TWI_ACK; break; - case 0x95: // Aft Handicap - aft_handicap = TWDR; + case 0x95: // Aft Handicap forward + aft_handicap_fwd = TWDR; + cmd_vel.bUpdate = 1; TWI_ACK; break; case 0xff: // bootloader @@ -545,19 +547,19 @@ ISR(TWI_vect) TWI_ACK; break; case 0x48: // Position angle MSB - TWDR = pos_y.i>>24; + TWDR = angle.i>>24; TWI_ACK; break; case 0x49: // Position angle - TWDR = pos_y.i>>16; + TWDR = angle.i>>16; TWI_ACK; break; case 0x4A: // Position angle - TWDR = pos_y.i>>8; + TWDR = angle.i>>8; TWI_ACK; break; case 0x4B: // Position angle LSB - TWDR = pos_y.i; + TWDR = angle.i; TWI_ACK; break; case 0xA0: // Reset reason @@ -776,7 +778,7 @@ static void update_pos(void) { angle_new = angle.f + angle_diff; if (angle_new > 2*M_PI) angle_new-=2*M_PI; - else if (angle_new < 2*M_PI) angle_new+=2*M_PI; + else if (angle_new < -2*M_PI) angle_new+=2*M_PI; translation = (diff_left_m + diff_right_m)/2.0; pos_x_new = pos_x.f + cos(angle_new)*translation; @@ -895,6 +897,9 @@ int main(void) { DDRB = (1 << 3); DDRC = (1 << 7) | (1 << 6) | (1 << 5) | (1 << 4) | (1 << 3) | (1 << 2); DDRD = (1 << 7) | (1 << 5) | (1 << 4) | (1 << 3) | (1 << 2); + // Pullup TLEs EF + PORTB = (1 << 0) | (1 << 1) | (1 << 2); + PORTD = (1 << 6); bootloader = 0x00; setup_uart(9600); @@ -960,10 +965,26 @@ int main(void) { speed_wish_left*=STEP_PER_M; speed_wish_right*=STEP_PER_M; - speed1_wish = speed_wish_left * (100-aft_handicap)/100.0; - speed2_wish = speed_wish_left * (100-front_handicap)/100.0; - speed3_wish = speed_wish_right * (100-front_handicap)/100.0; - speed4_wish = speed_wish_right * (100-aft_handicap)/100.0; + if (speed_wish_left > 0 && aft_handicap_fwd > 0) { + speed1_wish = speed_wish_left * (100-aft_handicap_fwd)/100.0; + } else { + speed1_wish = speed_wish_left; + } + if (speed_wish_left < 0 && front_handicap_bwd > 0) { + speed2_wish = speed_wish_left * (100-front_handicap_bwd)/100.0; + } else { + speed2_wish = speed_wish_left; + } + if (speed_wish_right < 0 && front_handicap_bwd > 0) { + speed3_wish = speed_wish_right * (100-front_handicap_bwd)/100.0; + } else { + speed3_wish = speed_wish_right; + } + if (speed_wish_right > 0 && aft_handicap_fwd > 0) { + speed4_wish = speed_wish_right * (100-aft_handicap_fwd)/100.0; + } else { + speed4_wish = speed_wish_right; + } motor1_mode = MOTOR_PID; motor2_mode = MOTOR_PID; motor3_mode = MOTOR_PID;