X-Git-Url: https://defiant.homedns.org/gitweb/?p=ros_wild_thumper.git;a=blobdiff_plain;f=avr%2Fmotor_ctrl%2Fmain.c;h=7781fb4af01654b33ea2a53df04a1bbaf63b7967;hp=9c6413cd8c414ca0563e18212e7e6a31a4601f72;hb=d55fc1ff8c77515d29610d3940f35965ff202a90;hpb=54239069f1fbc3ab2d38593454986c1a706c8b80 diff --git a/avr/motor_ctrl/main.c b/avr/motor_ctrl/main.c index 9c6413c..7781fb4 100644 --- a/avr/motor_ctrl/main.c +++ b/avr/motor_ctrl/main.c @@ -14,6 +14,10 @@ * 0x02 Motor 1 PWM LSB * 0x03 Motor 2 PWM MSB * 0x04 Motor 2 PWM LSB + * 0x05 Motor 3 PWM MSB + * 0x06 Motor 3 PWM LSB + * 0x07 Motor 4 PWM MSB + * 0x08 Motor 4 PWM LSB * free * 0x10 Hall 1 MSB * 0x11 Hall 1 LSB @@ -28,6 +32,10 @@ * 0x21 Motor 1 speed wish LSB * 0x22 Motor 2 speed wish MSB * 0x23 Motor 2 speed wish LSB + * 0x24 Motor 3 speed wish MSB + * 0x25 Motor 3 speed wish LSB + * 0x26 Motor 4 speed wish MSB + * 0x27 Motor 4 speed wish LSB * 0x28 Left speed wish (m/s) MSB * 0x29 Left speed wish (m/s) * 0x2A Left speed wish (m/s) @@ -40,6 +48,10 @@ * 0x31 Motor 1 speed LSB * 0x32 Motor 2 speed MSB * 0x33 Motor 2 speed LSB + * 0x34 Motor 3 speed MSB + * 0x35 Motor 3 speed LSB + * 0x36 Motor 4 speed MSB + * 0x37 Motor 4 speed LSB * 0x38 Speed (m/s) MSB * 0x39 Speed (m/s) * 0x3A Speed (m/s) @@ -72,6 +84,10 @@ * free * 0x90 Motor 1 switch * 0x91 Motor 2 switch + * 0x92 Motor 3 switch + * 0x93 Motor 4 switch + * 0x94 Front Handicap + * 0x95 Aft Handicap * free * 0xA0 Reset reason * 0xA1 Error status @@ -81,19 +97,32 @@ */ -#define TWI_ACK TWCR = (1<>8; @@ -349,6 +468,22 @@ ISR(TWI_vect) TWDR = speed2_wish; TWI_ACK; break; + case 0x24: // Motor 3 speed wish MSB + TWDR = speed3_wish>>8; + TWI_ACK; + break; + case 0x25: // Motor 3 speed wish LSB + TWDR = speed3_wish; + TWI_ACK; + break; + case 0x26: // Motor 4 speed wish MSB + TWDR = speed4_wish>>8; + TWI_ACK; + break; + case 0x27: // Motor 4 speed wish LSB + TWDR = speed4_wish; + TWI_ACK; + break; case 0x30: // Motor 1 speed MSB TWDR = speed1>>8; TWI_ACK; @@ -468,7 +603,7 @@ ISR(TWI_vect) MCUCSR = 0x0; TWI_ACK; break; - case 0xA1: // TLE Error status + case 0xA1: // Error status TWDR = error_state; TWI_ACK; break; @@ -500,8 +635,8 @@ static void update_hall1(void) { diff = oldstatus - new; // difference last - new if (diff & 0x1) { // bit 0 = value (1) oldstatus = new; // store new as next last - if (motor1_switch) pos1 -= (diff & 2) - 1; // bit 1 = direction (+/-) - else pos1 += (diff & 2) - 1; + if (motor1_switch) pos1 += (diff & 2) - 1; // bit 1 = direction (+/-) + else pos1 -= (diff & 2) - 1; } } @@ -519,7 +654,7 @@ static void update_hall2(void) { diff = oldstatus - new; // difference last - new if (diff & 0x1) { // bit 0 = value (1) oldstatus = new; // store new as next last - if (motor1_switch) pos2 -= (diff & 2) - 1; // bit 1 = direction (+/-) + if (motor2_switch) pos2 -= (diff & 2) - 1; // bit 1 = direction (+/-) else pos2 += (diff & 2) - 1; } } @@ -538,8 +673,8 @@ static void update_hall3(void) { diff = oldstatus - new; // difference last - new if (diff & 0x1) { // bit 0 = value (1) oldstatus = new; // store new as next last - if (motor2_switch) pos3 += (diff & 2) - 1; // bit 1 = direction (+/-) - else pos3 -= (diff & 2) - 1; + if (motor3_switch) pos3 -= (diff & 2) - 1; // bit 1 = direction (+/-) + else pos3 += (diff & 2) - 1; } } @@ -557,8 +692,8 @@ static void update_hall4(void) { diff = oldstatus - new; // difference last - new if (diff & 0x1) { // bit 0 = value (1) oldstatus = new; // store new as next last - if (motor2_switch) pos4 -= (diff & 2) - 1; // bit 1 = direction (+/-) - else pos4 += (diff & 2) - 1; + if (motor4_switch) pos4 += (diff & 2) - 1; // bit 1 = direction (+/-) + else pos4 -= (diff & 2) - 1; } } @@ -566,36 +701,34 @@ static void update_hall4(void) { static void update_motor(void) { static int16_t m1_old=SHRT_MIN; static int16_t m2_old=SHRT_MIN; + static int16_t m3_old=SHRT_MIN; + static int16_t m4_old=SHRT_MIN; - error_state = ~(PINB & 0x03); - - if (motor1_mode == MOTOR_PID && bit_is_set(error_state, 0)) { - // if error and running: stop - if (m1_old != 0) motor1 = 0; - // if we start motor in error state: start with full power - else if (motor1 > 0) motor1 = 255; - else if (motor1 < 0) motor1 = -255; - } - if (motor2_mode == MOTOR_PID && bit_is_set(error_state, 1)) { - // if error and running: stop - if (m2_old != 0) motor2 = 0; - // if we start motor in error state: start with full power - else if (motor2 > 0) motor2 = 255; - else if (motor2 < 0) motor2 = -255; - } + error_state &= 0xf0; // clear lower bits + error_state |= ~((PIND & 0x40)>>3 | (PINB & 0x07)) & 0xf; if (m1_old != motor1) { // update only when changed if (motor1 == 0) { // stop PORTC &= ~(1 << 3) & ~(1 << 2); + DISABLE_PWM_MOTOR1; + } else if (motor1 == PWM_BREAK) { + PORTC |= (1 << 3) | (1 << 2); + ENABLE_PWM_MOTOR1; } else if ((!motor1_switch && motor1 > 0) || (motor1_switch && motor1 < 0)) { // forward - PORTC |= (1 << 2); - PORTC &= ~(1 << 3); + uint8_t tmp=PORTC; + tmp &= ~(1 << 3); + tmp |= (1 << 2); + PORTC = tmp; + ENABLE_PWM_MOTOR1; } else { // motor1 < 0 // backward - PORTC &= ~(1 << 2); - PORTC |= (1 << 3); + uint8_t tmp=PORTC; + tmp &= ~(1 << 2); + tmp |= (1 << 3); + PORTC = tmp; + ENABLE_PWM_MOTOR1; } m1_old = motor1; @@ -606,19 +739,85 @@ static void update_motor(void) { if (motor2 == 0) { // stop PORTC &= ~(1 << 5) & ~(1 << 4); + DISABLE_PWM_MOTOR2; + } else if (motor2 == PWM_BREAK) { + PORTC |= (1 << 5) | (1 << 4); + ENABLE_PWM_MOTOR2; } else if ((!motor2_switch && motor2 > 0) || (motor2_switch && motor2 < 0)) { // forward - PORTC |= (1 << 4); - PORTC &= ~(1 << 5); + uint8_t tmp=PORTC; + tmp &= ~(1 << 5); + tmp |= (1 << 4); + PORTC = tmp; + ENABLE_PWM_MOTOR2; } else { // motor2 < 0 // backward - PORTC &= ~(1 << 4); - PORTC |= (1 << 5); + uint8_t tmp=PORTC; + tmp &= ~(1 << 4); + tmp |= (1 << 5); + PORTC = tmp; + ENABLE_PWM_MOTOR2; } m2_old = motor2; OCR1B = abs(motor2); } + + if (m3_old != motor3) { // update only when changed + if (motor3 == 0) { + // stop + PORTC &= ~(1 << 7) & ~(1 << 6); + DISABLE_PWM_MOTOR3; + } else if (motor3 == PWM_BREAK) { + PORTC |= (1 << 7) | (1 << 6); + ENABLE_PWM_MOTOR3; + } else if ((!motor3_switch && motor3 > 0) || (motor3_switch && motor3 < 0)) { + // forward + uint8_t tmp=PORTC; + tmp &= ~(1 << 7); + tmp |= (1 << 6); + PORTC = tmp; + ENABLE_PWM_MOTOR3; + } else { // motor3 < 0 + // backward + uint8_t tmp=PORTC; + tmp &= ~(1 << 6); + tmp |= (1 << 7); + PORTC = tmp; + ENABLE_PWM_MOTOR3; + } + + m3_old = motor3; + OCR2 = abs(motor3); + } + + if (m4_old != motor4) { // update only when changed + if (motor4 == 0) { + // stop + PORTD &= ~(1 << 3) & ~(1 << 2); + DISABLE_PWM_MOTOR4; + } else if (motor4 == PWM_BREAK) { + PORTD |= (1 << 3) | (1 << 2); + ENABLE_PWM_MOTOR4; + } else if ((!motor4_switch && motor4 > 0) || (motor4_switch && motor4 < 0)) { + // forward + uint8_t tmp=PORTD; + tmp &= ~(1 << 3); + tmp |= (1 << 2); + PORTD = tmp; + ENABLE_PWM_MOTOR4; + } else { // motor4 < 0 + // backward + uint8_t tmp=PORTD; + tmp &= ~(1 << 2); + tmp |= (1 << 3); + PORTD = tmp; + ENABLE_PWM_MOTOR4; + } + + m4_old = motor4; + OCR0 = abs(motor4); + } } @@ -667,10 +866,8 @@ static void update_pos(void) { pos_x_new = pos_x.f + cos(angle_new)*translation; pos_y_new = pos_y.f + sin(angle_new)*translation; - speed_l = (new_speed1+new_speed2)/2; - speed_r = (new_speed3+new_speed4)/2; - tmp_speed_lin = (speed_l + speed_r)/(2.0*STEP_PER_M_AVG); - tmp_speed_rot = (speed_r - speed_l)/(M_PI*WHEEL_DIST*STEP_PER_M_AVG); + tmp_speed_lin = translation/PID_T; + tmp_speed_rot = angle_diff/PID_T; // copy from tmp cli(); @@ -695,39 +892,127 @@ static void update_pos(void) { static void update_pid(void) { static int16_t eold1=0; static int16_t eold2=0; + static int16_t eold3=0; + static int16_t eold4=0; static int32_t esum1=0; static int32_t esum2=0; + static int32_t esum3=0; + static int32_t esum4=0; + + // protect motors from damage if stalling + if (labs(esum1) > STALL_LIMIT && speed1 == 0) { + motor1 = 0; + motor1_mode = MOTOR_MANUAL; + error_state |= (1<<4); + esum1 = 0; + } + if (labs(esum2) > STALL_LIMIT && speed2 == 0) { + motor2 = 0; + motor2_mode = MOTOR_MANUAL; + error_state |= (1<<5); + esum2 = 0; + } + if (labs(esum3) > STALL_LIMIT && speed3 == 0) { + motor3 = 0; + motor3_mode = MOTOR_MANUAL; + error_state |= (1<<6); + esum3 = 0; + } + if (labs(esum4) > STALL_LIMIT && speed4 == 0) { + motor4 = 0; + motor4_mode = MOTOR_MANUAL; + error_state |= (1<<7); + esum4 = 0; + } if (motor1_mode == MOTOR_PID) { + if (speed1_wish != speed1_wish_old) { + if (abs(speed1_wish - speed1_wish_old) > 500) esum1 = 0; + speed1_wish_old = speed1_wish; + } + if (speed1_wish == 0) { motor1 = 0; eold1 = 0; - esum1 = 0; + error_state &= ~(1<<4); } else { - int16_t e = speed1_wish - speed_l; + int16_t e = speed1_wish - speed1; esum1+=e; motor1 = KP*e + KI*PID_T*esum1 + KD/PID_T*(e - eold1); eold1 = e; - if (motor1 > 255) motor1 = 255; + if (motor1 > 0 && speed1_wish < 0) motor1=PWM_BREAK; + else if (motor1 < 0 && speed1_wish > 0) motor1=PWM_BREAK; + else if (motor1 > 255) motor1 = 255; else if (motor1 < -255) motor1 = -255; } } if (motor2_mode == MOTOR_PID) { + if (speed2_wish != speed2_wish_old) { + if (abs(speed2_wish - speed2_wish_old) > 500) esum2 = 0; + speed2_wish_old = speed2_wish; + } + if (speed2_wish == 0) { motor2 = 0; eold2 = 0; - esum2 = 0; + error_state &= ~(1<<5); } else { - int16_t e = speed2_wish - speed_r; + int16_t e = speed2_wish - speed2; esum2+=e; motor2 = KP*e + KI*PID_T*esum2 + KD/PID_T*(e - eold2); eold2 = e; - if (motor2 > 255) motor2 = 255; + if (motor2 > 0 && speed2_wish < 0) motor2=PWM_BREAK; + else if (motor2 < 0 && speed2_wish > 0) motor2=PWM_BREAK; + else if (motor2 > 255) motor2 = 255; else if (motor2 < -255) motor2 = -255; } } + if (motor3_mode == MOTOR_PID) { + if (speed3_wish != speed3_wish_old) { + if (abs(speed3_wish - speed3_wish_old) > 500) esum3 = 0; + speed3_wish_old = speed3_wish; + } + + if (speed3_wish == 0) { + motor3 = 0; + eold3 = 0; + error_state &= ~(1<<6); + } else { + int16_t e = speed3_wish - speed3; + esum3+=e; + motor3 = KP*e + KI*PID_T*esum3 + KD/PID_T*(e - eold3); + eold3 = e; + + if (motor3 > 0 && speed3_wish < 0) motor3=PWM_BREAK; + else if (motor3 < 0 && speed3_wish > 0) motor3=PWM_BREAK; + else if (motor3 > 255) motor3 = 255; + else if (motor3 < -255) motor3 = -255; + } + } + if (motor4_mode == MOTOR_PID) { + if (speed4_wish != speed4_wish_old) { + if (abs(speed4_wish - speed4_wish_old) > 500) esum4 = 0; + speed4_wish_old = speed4_wish; + } + + if (speed4_wish == 0) { + motor4 = 0; + eold4 = 0; + error_state &= ~(1<<7); + } else { + int16_t e = speed4_wish - speed4; + esum4+=e; + motor4 = KP*e + KI*PID_T*esum4 + KD/PID_T*(e - eold4); + eold4 = e; + + if (motor4 > 0 && speed4_wish < 0) motor4=PWM_BREAK; + else if (motor4 < 0 && speed4_wish > 0) motor4=PWM_BREAK; + else if (motor4 > 255) motor4 = 255; + else if (motor4 < -255) motor4 = -255; + } + } } @@ -746,6 +1031,9 @@ int main(void) { DDRB = (1 << 3); DDRC = (1 << 7) | (1 << 6) | (1 << 5) | (1 << 4) | (1 << 3) | (1 << 2); DDRD = (1 << 7) | (1 << 5) | (1 << 4) | (1 << 3) | (1 << 2); + // Pullup Diag/Enable + PORTB = (1 << 0) | (1 << 1) | (1 << 2); + PORTD = (1 << 6); bootloader = 0x00; setup_uart(9600); @@ -756,13 +1044,32 @@ int main(void) { TWI_RESET; // Motor 1 & 2 - // Timer 1: Fast PWM non-inverting mode, Top=256 => 15.625kHz + // Also used for PWM frequency TIMER1_FREQ (F_CPU/256) + // Timer 1: Fast PWM non-inverting mode, Top=255 => 19.531kHz // Prescaler=1 - TCCR1A = (1 << COM1A1) | (1 << COM1B1) | (1 << WGM10); + //TCCR1A = (1 << COM1A1) | (1 << COM1B1) | (1 << WGM10); + // Avoid narrow spike on extreme pwm value 0 by not setting COM1*1 + TCCR1A = (1 << WGM10); TCCR1B = (1 << WGM12) | (1 << CS10); OCR1A = 0; OCR1B = 0; + // Motor 3 + // Timer 2: Fast PWM non-inverting mode, Top=255 + // Prescaler=1 + //TCCR2 = (1 << WGM21) | (1 << WGM20) | (1 << COM21) | (1 << CS20); + // Avoid narrow spike on extreme pwm value 0 by not setting COM21 + TCCR2 = (1 << WGM21) | (1 << WGM20) | (1 << CS20); + OCR2 = 0; + + // Motor 4 + // Timer 0: Fast PWM non-inverting mode, Top=255 + // Prescaler=1 + //TCCR0 = (1 << WGM01) | (1 << WGM00) | (1 << COM01) | (1 << CS00); + // Avoid narrow spike on extreme pwm value 0 by not setting COM01 + TCCR0 = (1 << WGM01) | (1 << WGM00) | (1 << CS00); + OCR0 = 0; + printf("\r\nStart\r\n"); set_sleep_mode(SLEEP_MODE_IDLE); @@ -793,19 +1100,33 @@ int main(void) { cmd_vel.bUpdate = 0; sei(); - speed_wish_right = angle*M_PI*WHEEL_DIST/2 + speed; + speed_wish_right = (angle*WHEEL_DIST)/2 + speed; speed_wish_left = speed*2-speed_wish_right; speed_wish_left*=STEP_PER_M_LEFT; speed_wish_right*=STEP_PER_M_RIGHT; - speed1_wish = speed_wish_left; - speed2_wish = speed_wish_right; + if (aft_handicap > 0) { + speed1_wish = speed_wish_left * (100-aft_handicap)/100.0; + speed3_wish = speed_wish_right * (100-aft_handicap)/100.0; + } else { + speed1_wish = speed_wish_left; + speed3_wish = speed_wish_right; + } + if (front_handicap > 0) { + speed2_wish = speed_wish_left * (100-front_handicap)/100.0; + speed4_wish = speed_wish_right * (100-front_handicap)/100.0; + } else { + speed2_wish = speed_wish_left; + speed4_wish = speed_wish_right; + } motor1_mode = MOTOR_PID; motor2_mode = MOTOR_PID; + motor3_mode = MOTOR_PID; + motor4_mode = MOTOR_PID; } - if (run_update >= 156) { // ~100Hz + if (run_update >= 195) { // TIMER1_FREQ/195 = ~100Hz run_update=0; update_pos();